SamMobile has affiliate and sponsored partnerships. If you buy something through one of these links, we may earn a commission.

News For You
News For You
Notifications

Samsung news archive

Samsung brings its Neo QLED TVs to India

Samsung brings its Neo QLED TVs to India

Samsung had unveiled its Mini-LED-based Neo QLED TVs earlier this year during its CES 2021 event. Now, the company has launched those 4K and 8K Neo QLED TVs in India. The new TVs will be available via Amazon, Flipkart, Samsung's online store, and all leading consumer electronics stores across India. The company has launched three […]

  • By Asif Iqbal Shaik
  • 3 years ago
Samsung becomes CLG’s memory partner and reveals new esports event

Samsung becomes CLG’s memory partner and reveals new esports event

Samsung Electronics America is now the Official Computer Memory Hardware Devices Partner for the North American-based esports organization CLG, the two companies revealed earlier today. As part of this agreement, the CLG Performance Center will be upgraded with Samsung NVMe memory solutions including the 980 Pro SSD. In addition, Samsung is now also the official Presenting […]

  • By Mihai Matei
  • 3 years ago
Samsung becomes the first company in nine years to win this award

Samsung becomes the first company in nine years to win this award

Samsung has been focusing a lot on reducing its environmental footprint over the past decade. Thanks to its efforts, the company has become the first brand in nine years to win the EPA's (Environmental Protection Agency) prestigious and rare ENERGY STAR Corporate Commitment Award. The South Korean firm has been working with EPA for a long […]

  • By Asif Iqbal Shaik
  • 3 years ago
[Result] SamMobile Weekly Giveaway: Power up with a free Wireless Charger Duo!

[Result] SamMobile Weekly Giveaway: Power up with a free Wireless Charger Duo!

Wireless charging is a great feature that provides a lot of conveniences. Do you know what's better than wirelessly charging one device? Charging two at the same time! That's what Samsung's Wireless Charger Duo lets you do. Enter our latest SamMobile Weekly Giveaway for a chance to win the Wireless Charger Duo from Samsung. It's […]

  • By Adnan Farooqui
  • 3 years ago
Rivian’s electric vehicles will use Samsung’s batteries

Rivian’s electric vehicles will use Samsung’s batteries

Samsung SDI is among the world's biggest battery makers, and it supplies batteries for smartphones, laptops, wearables, and even electric vehicles. Now, the company has announced that it will supply batteries for Rivian's electric pickup trucks. The company's pickup trucks will be launched later this year. Rivian and Samsung SDI have jointly announced that the […]

  • By Asif Iqbal Shaik
  • 3 years ago
Samsung Galaxy Z Flip 2 firmware development is now underway

Samsung Galaxy Z Flip 2 firmware development is now underway

The Galaxy Z Flip 2 is going to be one of the two foldable smartphones that Samsung launches this year. The company hasn't confirmed as yet when it's going to arrive. Its predecessor was launched alongside the Galaxy S20 last year but Samsung decided against launching it early this year. There haven't been many leaks […]

  • By Adnan Farooqui
  • 3 years ago
Samsung takes home 71 iF Design awards for its foldables, TVs, and more

Samsung takes home 71 iF Design awards for its foldables, TVs, and more

Samsung has announced that it has won a whopping 71 awards during this year's International Forum Design Award event. The company took home 36 design awards for its products, 11 awards for communication design, 10 awards for professional concepts, 9 awards for UI and UX design, and 5 awards for packaging design. The Galaxy Z Fold […]

  • By Asif Iqbal Shaik
  • 3 years ago
Galaxy A60 is latest Samsung smartphone to get Android 11 update

Galaxy A60 is latest Samsung smartphone to get Android 11 update

Samsung has been pretty impressive over the past year in terms of software updates. The company has already released the Android 11 update to almost all its recent mid-range and high-end smartphones. Now, Samsung has started rolling out the latest version of Android to the Galaxy A60. The Galaxy A60 (SM-A6060), which was launched in […]

  • By Asif Iqbal Shaik
  • 3 years ago
April 2021 Android security update reaches Galaxy Note 8

April 2021 Android security update reaches Galaxy Note 8

Samsung's implementation of the April 2021 Android security update has just reached the Galaxy Note 8. The patch is already live across Latin America and is expected to keep expanding to more territories momentarily. The Galaxy Note 8 thus joined the dozen or so Samsung devices that already made the jump to the latest firmware. […]

  • By Dominik Bosnjak
  • 3 years ago
Samsung’s ‘most powerful Galaxy’ device will be unveiled on April 28

Samsung’s ‘most powerful Galaxy’ device will be unveiled on April 28

After conducting two Galaxy Unpacked virtual events—one for the Galaxy S21 and another for the Galaxy A 2021 series—this year, Samsung is getting ready for another. The company has announced a new Galaxy Unpacked event for April 28, 2021, and we expect the company to unveil its new range of laptops during the online-only event. […]

  • By Asif Iqbal Shaik
  • 3 years ago
Samsung’s next-gen foldables need better cameras and brighter displays

Samsung’s next-gen foldables need better cameras and brighter displays

Samsung's foldable mobile devices remain the best on the market and they're about to get even better with the launch of the Galaxy Z Fold 3 and the Galaxy Z Flip 2 later this year. They're bound to improve in several areas, from build quality to internal specifications, but we believe that Samsung's top priority […]

  • By Mihai Matei
  • 3 years ago
Samsung is rolling out a new weighty firmware update for the Galaxy F62

Samsung is rolling out a new weighty firmware update for the Galaxy F62

Samsung is rolling out another firmware update for the new Galaxy F62. It brings a newer security patch along with it, and the changelog mentions additional camera improvements, new and / or enhanced features, and further performance improvements. It sounds like the usual generic changelog, except the latest Galaxy F62 firmware update is too large […]

  • By Mihai Matei
  • 3 years ago
How the Galaxy Z Flip 2 can be the ultimate phone for the ladies

How the Galaxy Z Flip 2 can be the ultimate phone for the ladies

The Galaxy Z Fold 2 and the Galaxy Z Flip are the world's most popular foldable devices. They're kind of like the yin and yang of the foldable smartphone segment. They're very different from one another but they complement each other well. Their target audiences are not necessarily the same but they do overlap, and […]

  • By Mihai Matei
  • 3 years ago
Samsung’s image sensors will be made using 28nm process by UMC

Samsung’s image sensors will be made using 28nm process by UMC

Even Samsung appears to be falling short of chips amid the global chip shortage. The company has reportedly signed a contract with Taiwan's UMC (United Microelectronics Corporation) to manufacture ISOCELL image sensors and display drivers. These smartphone components will be fabricated using a 28nm fabrication process. The South Korean tech giant will reportedly sell 400 […]

  • By Asif Iqbal Shaik
  • 3 years ago
Samsung’s influence over the smartphone memory market keeps growing

Samsung’s influence over the smartphone memory market keeps growing

Samsung remained the largest global smartphone memory manufacturer in 2020 and the company improved its DRAM and NAND market share by a couple of points, reveals new data from market research firm Strategy Analytics via The Korea Bizwire. The source claims that the global smartphone memory market grew by 4% on-year in 2020, topping $41 […]

  • By Mihai Matei
  • 3 years ago
Galaxy Quantum 2 with Snapdragon 855+ processor goes official in Korea

Galaxy Quantum 2 with Snapdragon 855+ processor goes official in Korea

Samsung and SK Telecom have finally made the Galaxy Quantum 2 official. The smartphone features a high-end processor, a 120Hz display, and a dedicated quantum cryptography chipset for added security. The phone could be rebranded and launched as the Galaxy A82 in other markets worldwide, but we don't yet have an official word on it. […]

  • By Asif Iqbal Shaik
  • 3 years ago
Galaxy Book 360, Galaxy Book Pro inch closer to their launch

Galaxy Book 360, Galaxy Book Pro inch closer to their launch

Apart from the Galaxy Book Go, which received its certifications earlier today, Samsung will also launch the Galaxy Book Pro and the Galaxy Book Pro 360. The two laptops first leaked three months ago, and we exclusively confirmed that they feature the South Korean firm's OLED 90Hz screens and an S Pen. Now, the laptops […]

  • By Asif Iqbal Shaik
  • 3 years ago
Galaxy Book Go launch is right around the corner

Galaxy Book Go launch is right around the corner

Samsung is working on multiple new laptops, and some features of those laptops have been leaked already. The Galaxy Book Pro will be the company's first Windows 10 laptop with a Super AMOLED display, while the Galaxy Book Go could be the first Samsung laptop with a new-generation Snapdragon processor. The Galaxy Book Go has […]

  • By Asif Iqbal Shaik
  • 3 years ago
Samsung smart TVs will soon lose access to Google Play Movies & TV app

Samsung smart TVs will soon lose access to Google Play Movies & TV app

Google has been making some changes to its smart TV offerings since the launch of Google TV last year. Now, the company has announced that it will soon retire the Google Play Movies & TV app from various smart TV platforms, including Samsung's Tizen. Users don't need to worry, though, as movies and TV shows […]

  • By Asif Iqbal Shaik
  • 3 years ago
Samsung India announces 64GB Galaxy Tab A7 and Back to School deals

Samsung India announces 64GB Galaxy Tab A7 and Back to School deals

Samsung has launched a new Back to School campaign in India with a great focus on Galaxy tablets. The company offers special discounts to students purchasing select Galaxy tablets, as well as discounts on accessories and other products. To mark the occasion, Samsung has now officially announced the 64GB variant of the Galaxy Tab A7 […]

  • By Mihai Matei
  • 3 years ago