Discover Samsung, MASSIVE deals! Galaxy S24 Ultra, Z Fold 6, Buds 3 Pro, or Watch Ultra - Galaxy Book 5 Pro 360!

SamMobile has affiliate and sponsored partnerships. If you buy something through one of these links, we may earn a commission.

News For You
News For You
Notifications

    Samsung news archive

    Samsung to bring AstroPortrait camera mode to its phones

    Samsung to bring AstroPortrait camera mode to its phones

    Although Samsung's camera app on Galaxy phones is quite advanced and has plenty of features, the company launched the Expert RAW app a couple of years ago for camera enthusiasts and professionals who want even more features. It looks like Samsung will soon add one more advanced feature to the app. Expert RAW app could […]

    • By Asif Iqbal Shaik
    • 6 months ago
    Join SamMobile on Telegram for the best Samsung news and content

    Join SamMobile on Telegram for the best Samsung news and content

    If you've been with SamMobile long enough, you probably know that we are active on a variety of social media platforms. But regardless of whether you're a long-time SamMobile reader or a newcomer, we would like to welcome you to Telegram. When you join our Telegram channel, you can interact with other SamMobile readers and […]

    • By Mihai Matei
    • 6 months ago
    Samsung just made it easier to buy a new Galaxy Watch 6

    Samsung just made it easier to buy a new Galaxy Watch 6

    The Galaxy Watch 6 is a great companion for your Samsung device. It provides a wealth of health and fitness tracking features. The design is stylish and modern, making it go well with just about anything you'd wear. Prices for the Galaxy Watch 6 start at $299 with the Galaxy Watch 6 Classic starting at […]

    • By Adnan Farooqui
    • 6 months ago
    New Expert RAW update adds lossless option for higher quality photos

    New Expert RAW update adds lossless option for higher quality photos

    This week, Samsung started rolling out a new update to its pro-grade mobile photography app, Expert RAW. The update pushes the app to version 3.0.05.12 and brings one major feature photography enthusiasts should find very useful. Namely, Expert RAW v3.0.05.12 now lets users capture lossless RAW photos. This new option optimizes image quality by capturing […]

    • By Mihai Matei
    • 6 months ago
    Samsung Galaxy A35 review: The reasonable choice

    Samsung Galaxy A35 review: The reasonable choice

    The Galaxy A54 was Samsung's most exciting mid-range phone last year, but it was the Galaxy A34 that ended up being the sensible choice, at least as far as value for money was concerned. The A34 was also more optimized despite having a less capable chip than the Galaxy A54. The A54's performance issues were […]

    • By Abhijeet Mishra
    • 6 months ago
    Let’s hope Samsung will help us pick the correct Galaxy Ring size

    Let’s hope Samsung will help us pick the correct Galaxy Ring size

    Samsung is expected to enter a new wearable device market segment and release its first smart ring later this year. Samsung confirmed the Galaxy Ring at Mobile World Congress 2024 a few weeks ago and may officially launch the new wearable at the upcoming Galaxy Unpacked event, which we exclusively revealed should take place in […]

    • By Mihai Matei
    • 6 months ago
    Galaxy M15 is now available for pre-booking in India

    Galaxy M15 is now available for pre-booking in India

    Without fanfare, Samsung officially revealed the Galaxy M15 in mid-March. Now, and just as quietly, the Galaxy M15 has popped up on Amazon India for a pre-booking period. The Galaxy M15 can now be pre-booked in India for an advance payment of INR 999 ($12). The final retail price remains unknown, but Amazon says the […]

    • By Mihai Matei
    • 6 months ago
    Exynos could take an interesting direction, if we go by a random rumor

    Exynos could take an interesting direction, if we go by a random rumor

    Samsung appears to have pumped some life back into its Exynos chip line with the release of the Exynos 2400, and you can be almost certain that the company is now working on a follow-up silicon for next year. Everyone expects the upcoming chip to be called Exynos 2500, and new rumors concerning Samsung's plans […]

    • By Mihai Matei
    • 6 months ago
    Samsung puts hundreds more on HBM team for total market dominance

    Samsung puts hundreds more on HBM team for total market dominance

    Samsung has been talking a lot about gaining a dominant position in the global high-bandwidth memory market. It's not just all talk, though, the company is also putting its money where its mouth is by continuing to push the envelope on this technology. There seems to be a renewed sense of urgency at Samsung that […]

    • By Adnan Farooqui
    • 6 months ago
    Samsung’s starting to build the Galaxy S24 FE, early signs show

    Samsung’s starting to build the Galaxy S24 FE, early signs show

    Samsung's Fan Edition lineup will likely get a refresh this year and if history is any indication, it'll happen in the second half of 2024, perhaps a month or so after the company unveils its new foldable phones. So even though the launch may not happen for a good six months from now, early signs […]

    • By Adnan Farooqui
    • 6 months ago
    Samsung and Mercedes-Benz hit the golf course

    Samsung and Mercedes-Benz hit the golf course

    Samsung's drive to develop game-changing technology and Mercedes-Benz's commitment to elegance converged on the golf course in the Philippines. Samsung has renewed its relationship with the German luxury car brand Mercedes-Benz around an annual golf event. The Korean tech giant announced that it has partnered with the car manufacturer to become a major sponsor of […]

    • By Mihai Matei
    • 6 months ago
    Samsung pushes the HBM envelope with new 16-stack module

    Samsung pushes the HBM envelope with new 16-stack module

    High-bandwidth memory or HBM is crucial for AI semiconductors. Samsung's viewing the AI goldfish as the perfect opportunity to sell a lot of its HBM modules. The company has already won a lot of praise from existing leaders in the segment like NVIDIA. Samsung is also expected to be the sole supplier of HBMs for […]

    • By Adnan Farooqui
    • 6 months ago
    Samsung unveils new product design philosophy for 2030

    Samsung unveils new product design philosophy for 2030

    Samsung has some big plans for 2030. The South Korean firm wants to become the world's biggest semiconductor chip firm by 2030, and it has now revealed its new product design philosophy that will take effect in 2030. It will showcase its Newfound Equilibrium vision at Milan Design Week 2024 on April 16, 2024. Samsung […]

    • By Asif Iqbal Shaik
    • 6 months ago
    Samsung offers temporary fix for Galaxy S23 touchscreen issue with One UI 6.1

    Samsung offers temporary fix for Galaxy S23 touchscreen issue with One UI 6.1

    Last week, Samsung released One UI 6.1 to many high-end smartphones and tablets, including the Galaxy S23 series devices.  While the new software customization brought many interesting features to the company’s flagship phones for 2023, it has also introduced many issues on these devices, including slower charging speed, higher operating temperatures, fingerprint recognition failures, and […]

    • By Abid Iqbal Shaik
    • 6 months ago
    Grab an Odyssey gaming monitor at a limited-time discount

    Grab an Odyssey gaming monitor at a limited-time discount

    If you missed out on the Gaming Week sales event from Samsung, you still have a chance to buy one of the company's G8 monitors at a discount. The Odyssey Neo G8 and OLED G8 are now available for a lower price for a limited time. The 32-inch Odyssey Neo G8 is a 4K VA […]

    • By Mihai Matei
    • 6 months ago
    Samsung will soon launch 2024 TV lineup in India

    Samsung will soon launch 2024 TV lineup in India

    Earlier this year, Samsung unveiled its 2024 lineup of TVs. Those new TVs were recently launched in Europe, South Korea, and the US. Today, Samsung started teasing the launch of its new Neo QLED and OLED TVs in India. 2024 lineup of Samsung's Neo QLED and OLED TVs will launch in India soon, available for […]

    • By Asif Iqbal Shaik
    • 6 months ago
    Samsung launches Galaxy Tab A9 Kids Edition in Malaysia

    Samsung launches Galaxy Tab A9 Kids Edition in Malaysia

    In December 2023, Samsung unveiled the Galaxy Tab A9 Kids Edition and the Galaxy Tab A9+ 5G Kids Edition, which are special editions of the Galaxy Tab A9 and the Galaxy Tab A9+ respectively, customized for use by kids. Today, the company has launched the lower-end variant of the two, the Galaxy Tab A9 Kids […]

    • By Abid Iqbal Shaik
    • 6 months ago
    Expert RAW update brings support more ND Filter options to Galaxy S23

    Expert RAW update brings support more ND Filter options to Galaxy S23

    Samsung is adding more camera options to the Galaxy S23 series with its Expert RAW app. While Galaxy S23 series phones already support features like astrophotography and ND Filter, Samsung is adding more adjustment possibilities to the ND Filter option. Expert RAW update brings the Auto option for ND Filter The latest version (3.0.05.12) of […]

    • By Asif Iqbal Shaik
    • 6 months ago
    Samsung starts selling Bespoke Jet Bot Combo in Korea, USA

    Samsung starts selling Bespoke Jet Bot Combo in Korea, USA

    In December 2023, Samsung unveiled the Bespoke Jet Bot Combo, the company’s first robotic vacuum cleaner and mop. At CES 2024, the company showcased the product to the public. Fast forward to yesterday, the brand launched the robotic vacuum cleaner and mop with other Bespoke AI products globally, and today, the tech giant announced that […]

    • By Abid Iqbal Shaik
    • 6 months ago
    Samsung partners with Tide for Bespoke AI washing machine

    Samsung partners with Tide for Bespoke AI washing machine

    Yesterday, Samsung launched the new Bespoke AI Laundry Combo alongside other Bespoke AI products globally. It hasn’t even been twenty-four hours since then and the company is already adding a new feature to the Bespoke AI Laundry Combo. Samsung has announced that it has partnered with Procter & Gamble (P&G) to launch the Tide POD […]

    • By Abid Iqbal Shaik
    • 6 months ago